欢迎来到应届生求职网-中国领先的大学生求职网站

[上海]美满电子科技(上海)有限公司

(全职,发布于2017-11-08) 相关搜索
说明:

此信息由前程无忧(51JOB)审核并发布(查看原发布网址),应届生求职网转载该信息只是出于传递更多就业招聘信息,促进大学生就业的目的。如您对此转载信息有疑义,请与原信息发布者前程无忧(51JOB)核实,并请同时联系本站处理该转载信息。

职能类别:其他

Department: Networking


Job Description

  • Logic Synthesis: memory integration,      RTL sanity check, std. cell mapping, timing/power/area optimization, scan      stitching & formal verification.
  • Design for Test: DFT spec      and partition, BSD/JTAG/MBIST logic generation and insertion, scan chain      insertion and ATPG pattern generation/simulation/ verification, DFT constraints      development.
  • Physical Implementation: floorplanning,      power planning, placement, clock tree synthesis, timing closure, routing, SI      prevention, DRC fixing, DFM correctness and etc.
  • Physical Verification: Xtalk      analysis, power/ESD/EM analysis, DRC/LVS/ANT/ERC check and etc.
  • Tapeout: low power ERC      signoff, timing ECO and signoff, power signoff, design tapeout and etc.

Qualifications

  • BS or MS in EE or CS from      first class universities, major in VLSI, logic or CPU design. Good GPA      required.
  • Hands-on experience in IC      design industry or in college is preferred.
  • Detail oriented,      self-motivated and a team player. Good verbal and written communication      skills.

公司简要介绍:

公司名称:美满电子科技(上海)有限公司 公司类型:外资(欧美) 公司介绍:满电子科技公司(Marvell)创立于1995年,是拥有约7200名员工的跨国公司。Marvell美国总部位于加州圣塔克拉拉,在美国、欧洲、以色列、印度、新加坡和中国均设立了研发中心。 作为一家顶尖的无晶圆厂半导体公司,公司每年售出近10亿颗芯片。Marvell在微处理器体系架构及数字信号处理方面的专业知识,极大地推动了大容量存储解决方案、移动与无线技术、网络、消费电子产品及绿色产品等平台的发展。同时,借助于自身超强的设计及混合信号设计能力,Marvell可为客户提供最为关键的核心器件和模块,帮助他们在竞争激烈的市场中立于不败之地。